Compare commits

...

5 Commits

Author SHA1 Message Date
coletdjnz 84a08f80c2
Update hook-yt_dlp.py 2023-09-09 23:32:27 +12:00
coletdjnz 06b49816c7
Update build.yml 2023-09-09 23:21:29 +12:00
coletdjnz ad59c48e61
Update build.yml 2023-09-09 23:12:20 +12:00
coletdjnz b0a65aea62
Update build.yml 2023-09-09 23:05:15 +12:00
coletdjnz 83e58d5569
Update build.yml
does this make macos builds work?
2023-09-09 22:52:13 +12:00
2 changed files with 11 additions and 2 deletions

View File

@ -216,9 +216,16 @@ jobs:
- name: Install Requirements
run: |
brew install coreutils
python3 -m pip install -U --user pip setuptools wheel
python3 -m pip install -U --user pip setuptools wheel delocate
# We need to ignore wheels otherwise we break universal2 builds
python3 -m pip install -U --user --no-binary :all: Pyinstaller -r requirements.txt
mkdir curl_cffi_whls curl_cffi_universal2
python3 -m pip download --only-binary=:all: --platform macosx_11_0_arm64 curl_cffi --pre -d curl_cffi_whls
python3 -m pip download --only-binary=:all: --platform macosx_11_0_x86_64 curl_cffi --pre -d curl_cffi_whls
python3 -m delocate.cmd.delocate_fuse $(find curl_cffi_whls/curl_cffi* | paste -sd " " - ) -w curl_cffi_universal2
python3 -m delocate.cmd.delocate_fuse $(find curl_cffi_whls/cffi-* | paste -sd " " - ) -w curl_cffi_universal2
cd curl_cffi_universal2 && find curl_cffi-* -execdir bash -c 'mv -i "$1" "${1/x86_64/universal2}"' bash {} \; && find cffi-* -execdir bash -c 'mv -i "$1" "${1/x86_64/universal2}"' bash {} \;
python3 -m pip install -U --user $(find curl_cffi-*) $(find cffi-*) && cd ..
- name: Prepare
run: |

View File

@ -22,8 +22,10 @@ def get_hidden_imports():
yield from ('yt_dlp.utils._legacy', 'yt_dlp.utils._deprecated')
yield pycryptodome_module()
yield from collect_submodules('websockets')
yield from ('_cffi_backend',)
yield from collect_submodules('curl_cffi')
# These are auto-detected, but explicitly add them just in case
yield from ('mutagen', 'brotli', 'certifi', 'curl_cffi')
yield from ('mutagen', 'brotli', 'certifi')
hiddenimports = list(get_hidden_imports())